Spare cell leakage minimization in physical design

Spare cell insertion is a well known technique to reduce the cost of functional fixes, limiting the changes to a few metal masks. Common practice is to tie to ground the spare cells’ input pins, reconnecting them later in the flow, as required by any functional ECOs.

For illustration let’s take a NOR gate spare master which has 2 inputs A1 and A2. This gate will have 4 different states of A1 and A2 and hence four different state-dependent leakage power values.

By referring to this table, the developed algorithm will find the minimum leakage value and associated input combination.

For a 2-input NOR gate, the minimum leakage value of 0.01204 nW is when both inputs are tied to ‘1’. In the same way, all spare logic can be connected to minimize leakage.

The proposed flow was tested in 90nm technology using Synopsys’ IC Compiler for spare cell insertion in post-placed design, and PT-PX to report state-dependent leakage power of the spare cells. The results in Table 2 show the comparison between the leakage power consumption of the spare cells in the traditional flow in which all inputs of the spare cells are tied to ground, and the proposed flow in which the inputs of the spare cells are tied to the condition which gives minimum state dependent leakage. Also, overall combinational cells’ leakage power in a whole design is reduced as shown in Table 3.  The spare cells distribution of design “A” is shown in Figure 3.

This approach is safe, without any side effects, as it is only implemented on spare cells.

No runtime penalty

No complexity involved in implementation

The leakage value will change drastically if there are sequential spare cells in the design.

This approach can save more leakage power in lower nodes, where leakage is dominant in the overall power of the chip.

Routing of spare cell pins will be different, as inputs connect to either power or ground, instead of only ground in the conventional approach.

In ASIC designs, there is a strong need to minimize static leakage power as much as possible through different techniques. The traditional way of connecting spare cell input pins to either power or ground rails does not assure minimum leakage, as it is state-dependent. In this article, we proposed a new method to minimize the leakage power of idle spare cells by connecting their inputs to a state which results in the minimum leakage power. This method was tested on post-placed layout, and the result shows a reduction of 31% spare-cell leakage power, and 6.33% overall combinational cell leakage power in the 90nm technology node. The future challenges include taking care of multi-voltage and multi-corner designs, and handling physical design challenges in the area of routing to ensure minimum changes to the mask.

source: http://www.edn.com/electronics-blogs/day-in-the-life-of-a-chip-designer/4431743/Spare-cell-leakage-minimization-in-physical-design–part-2-of-2

Comments are closed.